Hi,
I'm getting following error , can you help me on this.
function void build_phase(uvm_phase phase);
ac_lpc_agent agent;
super.build_phase(phase);
if ($cast(agent, get_parent()) && agent != null) begin
vif = agent.vif;
end
else begin
if (!uvm_config_db#(virtual ac_lpc_if)::get(this, "", "vif", vif)) begin `uvm_fatal("ac_lpc_driver", "No virtual interface specified for this driver instance");
end
end
endfunction
ERROR: uvm_test_top.env.driver [ac_lpc_driver] No virtual interface specified for this driver instance.
Thanks,
Prawin