Hi Andy,
Thanks for your contribution. That's very good to have!
Do you have something similar for sc_in/sc_out/sc_inout types?
E.g., in the following code:
SC_MODULE(dummy) {
sc_in_clk clock;
sc_in<bool> i;
sc_out<sc_int<2> > o;
SC_CTOR(dummy): clock("clk"),i("i"),o("o") { ... }
...
};
int sc_main (int argc, char **argv) {
dummy d("d");
sc_signal<bool> clk;
sc_signal<bool> i;
sc_signal<sc_int<2> > o;
d.clock(clk);
d.i(i);
d.o(o);
...
return 0;
}
If I put a breakpoint with gdb on the return statement, and try to print sc_signal/sc_in/sc_out elements, I get not pretty-printed elements.
Worse, I get the following error when printing signal "o" and output port "d.o":
Do you know what can happen here?
Thanks,
Florian