Jump to content

49 files

  1. Simple UVM 1.1 UVC template generator - v1.10

    Updated with a few bug fixes.  Two minor new features added with v1.10:
    -use_seqr : by default, no sequencer component is created, this switch willforce a custom uvm_sequencer component to be generated (not available with -one_file)
      -one_file : generate simple uvc in single file - for building small examples  

    1,259 downloads

    0 comments

    Submitted

  2. Cadence UVM_RGM2.7.5 release

    UVM_RGM2.7.5 is the UVM version of the Cadence Register and Memory package that has been tested by multiple users on all major commercial simulators. 
    Bug Fixed:  


    Fixed issue with backdoor read for special read fields  Fixed issue with sync for special read fields Guarded exclude names with empty string match Fixed filtering by breaking immediately when condition matches
    Walking one built-in-seq did not create the regOp when writing. Mode based register enum field macro having wrong case statement Typo in DPI file (vhpiHandleT changed to vpiHandle) Check for address overlap for indirect / shared and mode-based corrected
    Modified the burst rd-wr testcase to have response Include / exclude addresses, get_config_object issue with reference handle pass  
    Enhancements: Added support for mode based registers having separate storage Added stand-alone examples for mode-based registers Fixed the typo in sequence macro file when it error Shared register treated as RW register when filtering using condition Shared-indirect register not handled correctly by built-in-sequences Missing clone bit in get_config_object of address_range in sequence library

    1,743 downloads

    1 comment

    Submitted

  3. UVM / OVM Harness Whitepaper 1.2

    This update adds a section that addresses how to connect harnesses to arrays of sub-modules in a virtual harness.
    Harnesses are a proven methodology to hierarchically reuse interface connections from the block to the chip level.

    Included in the package are instructions for both UVM and OVM test benches.

    667 downloads

    0 comments

    Updated

  4. Cadence UVM_RGM2.6.1 release

    UVM_RGM2.6.1 is the UVM version of the Cadence Register and Memory package that has been tested by multiple users on all major commercial simulators.
    Bug Fixed:

    Fixed issue with syncing to VHDL. Register overlap check error with end address Backdoor read of register fields was not properly masked Filtering of registers having unknown value is now only for rd_all regs seq Enhancements:
    Allowed backdoor write to read-only fields Allowed register's reset value over-ride using plusArgs Added register array delete at the end of built-in-seq Added support field-level backdoor access for shared register Modified shared_reg_backdoor example and added ipxact file Removed all uvm deprication warnings from examples Added support for VHDL backdoor std_ulogic_[ports |signals | vectorSignals] Modified all headers of XML files to get schema from http Added objection to built-in-sequences Added a global field to mask-out comparison of all non-read-write fields Added a global field to enable warning when accessed address is outside container

    1,197 downloads

    0 comments

    Submitted

  5. Multi-language example: SC reference model in UVM SV testbench

    This example shows how to integrate a SystemC reference model into a UVM SystemVerilog testbench. The connections between SC-SV are done using TLM-1.0 and the multi-language library from Cadence.

    686 downloads

    0 comments

    Submitted

  6. UVM Integration with SystemC TLM2

    This contribution contains a small, working example that demonstrates a general purpose, scalable approach for integrating UVM-SV and UVM-e models with SystemC TLM2 models.
    Please see the PACKAGE_README.txt file and the uvm_tlm2_integration.pdf slides within thekit for further information on this example.
    Full source code and run scripts are provided within the kit.

    662 downloads

    0 comments

    Submitted

  7. UVM 1.1 template generator - version 1.09

    Version 1.09. Cleaned up version.  Added a README.  -h (-help) and -t (-template) made a tiny bit smarter.  

    659 downloads

    0 comments

    Submitted

  8. updated juvb template generator for UVM-1.1

    First cut of UVM 1.1 template generator.  I have incorporated all the key changes from OVM 2.1.1 and UVM 1.0ea to UVM 1.1.  To use "perl juvb11.pl -help".  Assumes perl is in your path.  I plan to make some additional changes including adding the new sequence library setup, driving a couple of dummy ports to see real waveforms, and adding a basic scoreboad,  Note this is a VERY BASIC template genarator intended to get a user started with UVM - not intended to replace offically supported vendor template generators.  This is AE-ware.  Note that it still generates "jrun" and "jclean" scripts and should run out-of-the box.  User will need to set UVMHOME env. var to point to UVM-1.1 installation.  Good luck!

    406 downloads

    0 comments

    Submitted

  9. UVM / OVM Harness Whitepaper 1.1

    Update: Added example code and clarifications in the documentation.
    Harnesses are a proven methodology to hierarchically reuse interface connections from the block to the chip level.
    Included in the package are instructions for both UVM and OVM test benches.


    231 downloads

    0 comments

    Submitted

  10. UVM Run-Time Phases Primer (v0.5.1)

    This 0.5.1 release of the primer is essentially a bug-fix for the example code in the 0.5 version.  The code has been made more LRM compliant, however there are no semantic or methodological changes.
    From the prior releases:
    v0.5:
    This release of the primer covers additional topics, such as "Making sequences phase aware" and "Simplifying the common reset case"
    v0.4:
    The UVM Library has introduced an important set of new features commonly referred to as “Run-Time Phasing.”  This primer is an approach towards using these new features to meet the needs of a common verification use-case.  As an additional reference, and for the reader’s convenience, a code example which fully implements the methods presented in the primer is included.

    625 downloads

    0 comments

    Submitted

  11. UVM / OVM Harness Whitepaper

    Harnesses are a proven methodology to hierarchically reuse interface connections from the block to the chip level.
    Included in the package are instructions for both UVM and OVM test benches.


    492 downloads

    0 comments

    Submitted

  12. Updates for UVM_RGM2.5 to avoid the "UVM DEPRECATED"

    July 6, 2011
    Porting the UVM_RGM2.5 sv lib and build-in xbus example codes aligned with UVM1.1, to avoid the "UVM DEPRECATED" info ,It's for your reference.
    It works well in my UVM Environment for now.
    This is based on Cadence UVM_RGM2.5 Release
    For more information , please contact Roman.D.W@freescale.com

    277 downloads

    0 comments

    Submitted

  13. UVM 1.1 HTML API

    It is organized using the simple and practical Javadoc style. It embeds the
    natural docs annotations. It is lightweight and easily browsable.
    You can also browse it online: www.dvteclipse.com/uvm-1.1-HTML_API/index.html
    If you use an IDE (like DVT - www.dvteclipse.com), the API specification
    embedded in comments is presented in-line with the code. You don't have to
    switch to the API docs while editing.
    You can extract a similar documentation from your code using DVT.

    439 downloads

    0 comments

    Submitted

  14. UVM 1.1 Doxygen HTML API

    This is the UVM 1.1 Doxygen API specification in HTML format.
    It is lightweight and easily browsable.
    Pls start from index.html.
    Roman.D.W@Freescale.com

    1,235 downloads

    0 comments

    Submitted

  15. UVM Run-Time Phases Primer (v0.5)

    This 0.5 release of the primer covers additional topics, such as "Making sequences phase aware" and "Simplifying the common reset case"
    From the original release:
    The UVM Library has introduced an important set of new features commonly referred to as “Run-Time Phasing.”  This primer is an approach towards using these new features to meet the needs of a common verification use-case.  As an additional reference, and for the reader’s convenience, a code example which fully implements the methods presented in the primer is included.

    425 downloads

    0 comments

    Submitted

  16. UVM 1.0 HTML API

    This is the UVM 1.0 API specification in HTML format.
    It is organized using the simple and practical Javadoc style. It embeds the natural docs annotations. It is lightweight and easily browsable.
    If you use an IDE (like DVT - www.dvteclipse.com), the API specification embedded in comments is presented in-line with the code. You don't have to switch to the API docs while editing.
    You can extract a similar documentation from your code using DVT.

    339 downloads

    0 comments

    Submitted

  17. UVM Run-Time Phases Primer

    The UVM Library has introduced an important set of new features commonly referred to as “Run-Time Phasing.”  This primer is an approach towards using these new features to meet the needs of a common verification use-case.  As an additional reference, and for the reader’s convenience, a code example which fully implements the methods presented in the primer is included.

    503 downloads

    0 comments

    Submitted

  18. Appnote: Migrating from OVM to UVM-1.0

    Migrating environments from OVM to UVM is largely a matter of changing Os to Us. However, functional changes to phasing and configuration, along with the deprecation string based sequence library necessitate some changes to an OVM environment to make it run with UVM-1.0. This document describes how to migrate to UVM-1.0, but this document does not go into any deep discussion on new UVM-1.0 features.

    3,550 downloads

    0 comments

    Submitted

  19. PW UVM Scoreboard Version 1.0

    The scoreboard package is a ready-to-use utility for verifying
    data integrity in an UVM Testbench.
    The package is UVM compliant. It is suitable for UVM-compliant UVCs and environments.
    This version of scoreboard supports the following:
     o Scoreboarding through TLM interfaces
     o Scoreboarding through procedural interfaces
     o On the fly multiple stream support
     o Both in-order and out-of-order checking
     o Creation of complex DUT-specific transfer functions
     o Timeout checking
     o Hooks for error handling
     o Extendable
     

    1,672 downloads

    1 comment

    Submitted

  20. vim syntax highlighting file for Verilog, Systemverilog and UVM

    This file, when sourced via your .vimrc file, highlights the HDL (Verilog, SystemVerilog) and Methodology layer (UVM) keywords in the vim editor. 

    5,510 downloads

    11 comments

    Submitted

  21. OVM2.1.1 to UVM1.0EA Migration Script

    In 90%+ of the cases the simple search/replace ovm* with uvm* will work to get you from OVM to UVM.
    Heavy OVM users (callbacks) or legacy ones (avm*, urm*) could use a more precise migration which will indicate deprecated types, deprecated macros, method signature changes etc.
    The attached refactoring script contains all the differences between OVM2.1.1 and UVM1.0EA. The last few lines are text "ovm*" to "uvm*", the rest are:

    removed macros removed classes removed fields removed methods renamed macros renamed classes renamed methods method signature changes (more/less arguments) macro signature changes (more/less arguments) DVT helps the OVM2UVM migration, including the capability to apply this or any other refactoring script. For more details: www.dvteclipse.com/documentation/sv/OVM_to_UVM_Migration.html and www.dvteclipse.com/documentation/sv/Refactoring_Scripts.html

    536 downloads

    0 comments

    Submitted

  22. UVM Compliance Checklist

    This document introduces UVM compliance checks defined for UVM verification environments. The compliance checklist was requested by corporations and UVM users wishing to ensure consistency, similar user experience, and compliance to the official UVM SystemVerilog User Guide and concepts. Static commercial tools such as DVT allow forcing these checks on user environments

    The checks are divided into several categories:
    * Packaging and Name Space Compliance Checks

    * Architecture Compliance Checks

    * Reset and Clock Compliance Checks

    * Checking Compliance Checks

    * Sequences Compliance Checks

    * Messaging Compliance Checks

    * Documentation Compliance Checks

    * General Deliverables Compliance Checks

    * End of Test Compliance Checks

    * UVM-SV Specific Compliance Checks

    For comments or questions please use the forums or send an email to uvm_contributions@cadence.com 

    2,593 downloads

    0 comments

    Submitted

  23. Simple perl based UCV buider

    I have uploaded a copy of my OVM template genarator updated for UVM.  Basically, I changed all OVM/ovm strings to UVM/uvm.  You will need to set the environment variable UVMHOME to use the generated "jrun" script.  You can email me with any Q's - my email is in the perl script.  The simplest way to run:
    perl juvb.pl
    cd base/examples
    setenv UVMHOME <path to UVM install>
    jrun
    or
    perl juvb.pl template=cdn_yapp_uvc.tpl (or you own custom template).
    It supports only basic int datatypes in the sequence item class.
    It's only intended to get you started and "over the hump".  It's
    not intended to replace the official template generators.
    Happy UVMing....

    743 downloads

    0 comments

    Submitted

  24. UVM 1.0EA API Specification in Javadoc format

    The Javadoc format for documenting API is well established in the software world, so why not use it for SystemVerilog? It is a pretty simple format and it allows quick access to the API spec, especially when you need something fast.
       It doesn't supplement user guides, but it is lightweight and easily browsable. It starts from the assumption the underlying code is well commented. Which seems to be true for the UVM lib.
      Needless to say, if you use an IDE (like DVT - www.dvteclipse.com), the API spec is presented inline with the code, so you don't have to open/switch to the API docs while editing.
       Still, it is good to have the API at hand, so here it is.

    707 downloads

    0 comments

    Submitted


×
×
  • Create New...